Программируемые логические интегральные схемы реферат

Обновлено: 04.07.2024

Программи́руемая логи́ческая интегра́льная схе́ма ( ПЛИС , programmable logic device , PLD) — электронный компонент, используемый для создания цифровых интегральных схем. В отличие от обычных цифровых , логика работы ПЛИС не определяется при изготовлении, а задаётся посредством ( ). Для программирования используются программаторы и отладочные среды, позволяющие задать желаемую структуру цифрового устройства в виде принципиальной электрической схемы или программы на специальных языках описания аппаратуры , , AHDL и др. Альтернативой ПЛИС являются: , требующие заводского производственного процесса для программирования; — специализированные заказные (большие интегральные схемы), которые при мелкосерийном и единичном производстве существенно дороже; специализированные , (например, ) или , которые из-за программного способа реализации алгоритмов медленнее ПЛИС. Некоторые производители ПЛИС предлагают для своих ПЛИС, которые могут быть модифицированы под конкретную задачу, а затем встроены в ПЛИС. Тем самым обеспечивается уменьшение места на печатной плате и упрощение проектирования самой ПЛИС.

Программируемые логические интегральные схемы (ПЛИС) появились полтора десятилетия назад как альтернатива программируемым логическим матрицам (ПЛМ). От последних ПЛИС отличаются как по архитектуре, так и по технологии изготовления.
ПЛМ представляет собой матрицу многовходовых (несколько десятков входов) логических элементов с триггерами, в которых перемычками программируются конституенты единиц дизъюнктивных нормальных форм функций этих элементов. Вначале перемычки выполнялись в виде пережигаемых тонких проводничков. Теперь перемычки выполняются в виде МОП-транзистора с плавающим затвором, как в электрически перепрограммируемом ПЗУ, т.е. ПЛМ изготовляются по технологии флэш-памяти. Большие ПЛМ (CPLD) отличаются только тем, что несколько ПЛМ собраны на одном кристалле и объединены программируемым полем связей.

ПЛИС представляет собой матрицу маловходовых (от двух до пяти входов) логических элементов, триггеров, отрезков линий связи, соединяемых перемычками из полевых транзисторов. Судя по английскому названию - Field Programmable Gate Array (FPGA) - ПЛИС программируются изменением уровня электрического поля (field) в затворах этих транзисторов. В отличие, например, от LPGA - Laser Programmable Gate Array. Затворы всех "программирующих" полевых транзисторов подключены к выходам триггеров одного длинного сдвигового регистра, который заполняется при программировании ПЛИС. Некоторые из участков этого регистра могут также выполнять роль ячеек ПЗУ.
Прошивка обычно хранится в ПЗУ, стоящем рядом с ПЛИС и после включения питания или по сигналу сброса она автоматически переписывается в программирующий сдвиговый регистр ПЛИС. Этот процесс называется конфигурированием ПЛИС. Так как основу ПЛИС составляют триггеры, хранящие прошивку, то ПЛИС изготавливаются по технологии микросхем статического ОЗУ.

По сравнению с CPLD, ПЛИС выигрывают, во-первых, в неограниченном количестве перепрограммирований, во-вторых, в логической емкости, в том числе в удельной емкости вентилей на цент, в-третьих, в малом энергопотреблении.

Как правило, ПЛИС имеют на два - три порядка большую емкость в числе эквивалентных логических вентилей, чем CPLD и также как статическое ОЗУ, почти не потребляют энергии при отсутствии переключений. Кроме того, у ПЛИС на порядок выше надежность (ниже интенсивность отказов), чем у CPLD.

Много сомнений у пользователей возникает с защитой проекта от копирования. Действительно, прошивка ПЛИС хранится во внешнем ПЗУ, содержимое которого просто копируется. Но изменить или расшифровать прошивку, например, для скрытия авторства или восстановления схемы, практически невозможно, так как семантика битов в файле прошивки - секрет фирмы, а неосторожное изменение ее может вывести ПЛИС из строя. Если требуется защита, то загрузку программы выполняют с помощью внешней CPLD, автомат в которой обеспечивает защиту проекта. В ПЛИС новых поколений предусматривается шифрование прошивки, например, с помощью встроенного шифрователя DES с обеспечением сохранения ключа с помощью батарейки.

Программируемые логические интегральные схемы – ПЛИС являются одними из самых перспективных элементов цифровой схемотехники. ПЛИС представляет собой кристалл, на котором расположено большое количество простых логических элементов. Изначально эти элементы не соединены между собой. Соединение элементов (превращение разрозненных элементов в электрическую схему) осуществляется с помощью электронных ключей, расположенных в этом же кристалле. Электронные ключи управляются специальной памятью, в ячейки которой заносится код конфигурации цифровой схемы. Таким образом, записав в память ПЛИС определенные коды, можно собрать цифровое устройство любой степени сложности (это зависит от количества элементов на кристалле и параметров ПЛИС). В отличие от микропроцессоров, в ПЛИС можно организовать алгоритмы цифровой обработки на аппаратном (схемном) уровне. При этом быстродействие цифровой обработки резко возрастает. Достоинствами технологии проектирования устройств на основе ПЛИС являются:

минимальное время разработки схемы (нужно лишь занести в память ПЛИС конфигурационный код);

в отличие от обычных элементов цифровой схемотехники здесь отпадает необходимость в разработке и изготовлении сложных печатных плат;

быстрое преобразование одной конфигурации цифровой схемы в другую (замена кода конфигурации схемы в памяти);

Типичные области применения ПЛИС: цифровая обработка сигналов, пользовательская электроника, системы сбора данных, системы управления, телекоммуникационное оборудование, оборудование для систем беспроводной связи, компьютерное оборудование общего назначения.

Стремясь к достижению высоких технических характеристик и потребительских качеств своей продукции, разработчики электронных устройств используют специализированные ИС (СПИС). Их применение обеспечивает следующие преимущества:
- уменьшение габаритов устройства. Применение СПИС позволяет снизить количество ИС, уменьшить размеры печатных плат и тем самым сократить габариты всего устройства;
- повышение технических характеристик. Уменьшение количества ИС приводит к повышению системного быстродействия и сокращению потребляемой мощности;
- повышение надежности. Так как вероятность ошибки или поломки устройства прямо пропорциональна количеству ИС, надежность устройств, использующих СПИС, значительно возрастает;
- обеспечение защиты разработки. Так как скопировать устройство, содержащее СПИС, значительно сложнее (а иногда практически невозможно), чем устройство на стандартных компонентах, применение СПИС позволяет обеспечить авторские права разработчика;
- повышение гибкости модификации. Так как модификация СПИС не требует, как правило, переработки остальных узлов, переразводки печатных плат и т.д., возможности отладки и модификации устройства значительно повышаются.

В большинстве случаев в литературе выделяют следующие классы СПИС (ASIC) [3]:
- программируемые пользователем ИС – ПЛИС (PLD).

- масочно-программируемые ИС – базовые матричные кристаллы (БМК) или вентильные матрицы (Gate Arrays).

- ИС на стандартных ячейках (Standard Cells).

- полностью заказные ИС (Full Custom).

ПЛИС и БМК относятся к категории полузаказных ИС, поскольку внутрисхемная топология частично формируется при производстве самих ИС, а частично программируется в соответствии с требованиями потребителя. Остальные СПИС являются заказными, т.к. вся топология схемы с учетом требуемых функций разрабатывается при производстве кристаллов.
Классификация СПИС приведена на рис.1., из которой видно, какое направление и раздел СПИС занимает ПЛИС:


Рис.1. Классификация СПИС

2. Принцип работы, структура ПЛИС, скоростные характеристики, в каких корпусах выпускается, примеры устройств, фирмы реализации, рынок (объем выпуска), тенденции.

В настоящее время выпускаются следующие серии ПЛИС FPGA:

ПЛИС типа FPGA фирмы Xilinx выполненны по SRAM кМОП технологии. Характеризуются высокой гибкостью структуры и изобилием на кристалле триггеров. При этом логика реализуется посредством так называемых LUT – таблиц (Look Up Table) Xilinx, а внутренние межсоединения – посредством разветвлённой иерархии металлических линий, коммутируемых специальными быстродействующими транзисторами.

Отличительными системными особенностями являются:

внутренние буфера с возможностью переключения в высокоомное состояние и тем самым позволяющие организовать системные двунаправленные шины

индивидуальный контроль высокоомного состояния и времени нарастания фронта выходного сигнала по каждому внешнему выводу

наличие общего сброса/установки всех триггеров ПЛИС

множество глобальных линий с низкими задержками распространения сигнала

наличие внутреннего распределённого ОЗУ Xilinx, реализующегося посредством тех же LUT – таблиц (серии Spartan, Virtex, XC4000).

наличие внутреннего блочного ОЗУ, один блок имеет ёмкость 4 кбит (семейства Virtex, Virtex-E, Spartan-II, Spartan-IIE) или 18 кбит (семейства Virtex-II и Virtex-IIPro), всего блоков до 556 на кристалл

наличие встроенных блоков умножителей 18х18 (семейства Virtex-II и Virtex-IIPro), всего блоков до 556 на кристалл

наличие встроенных блоков процессоров PowerPC-405 (семейство Virtex-IIPro), до 4 процессоров на кристалл

наличие высокоскоростных трансиверов(семейство Virtex-IIPro), до 24 со скоростью передачи данных 3.125 ГБит/с каждый

Конфигурационная последовательность (bitstream) может быть загружена в прибор непосредственно в системе и перегружена неограниченное число раз. Инициализация ПЛИС производится автоматически (из загрузочного ПЗУ Xilinx) при подаче напряжения питания или принудительно по специальному сигналу. В зависимости от ёмкости ПЛИС процесс инициализации занимает от 20 до 900 мс, в течение которых выводы ПЛИС находятся в высокоомном состоянии (подтянуты к логической единице).

Статическое потребление энергии достаточно мало и для некоторых серий составляет единицы микроватт. Динамическое же потребление пропорционально возрастает с частотой функционирования проекта и зависит от степени заполнения кристалла, характера логической структуры проекта на кристалле, параметров режима внешних выводов ПЛИС и т. д.


Корпуса
Для каждого отдельно взятого семейства ПЛИС Xilinx существует преемственность кристаллов по типу корпуса и, соответственно, цоколёвке, то есть в одни и те же корпуса упаковываются ПЛИС различного логического объёма. Например, в корпусе PQ/HQ240 имеются ПЛИС с ёмкостью от 13тыс. (XC4013XLA) до 85 тыс. вентилей (XC4085XLA), что позволяет разработчику, задавшись на этапе проектирования печатной платы определённым типом корпуса, в дальнейшем устанавливать ПЛИС наиболее подходящего размера.

Сегодня в России, как и во всем мире, подходы к созданию электронных устройств и систем, работающих в тяжелых условиях эксплуатации, существенно меняются. Основная тенденция – переориентация на специализированные изделия с сокращенным циклом проектирования и производства, что позволяет достигать максимальной эффективности при выполнении конкретных задач управления, контроля и сбора информации.

- встроенный микропроцессор ориентирован преимущественно на выполнение задач управления, а не обработки данных;

- поток данных в системе организован непосредственно между контроллерами, а не через микропроцессорную шину.

- значительное сокращение расходов на изготовление микросхем и экономический эффект при реализации проектов малой и средней серийности (до десятков тысяч штук);
- существенное сокращение сроков выпуска новых изделий на рынок (time to market);
- гибкая конфигурируемость системы в соответствии с текущими нуждами конкретного проекта и задачами упрощения модификации; – повышенная надежность изделия благодаря 100%-ному тестированию производителем регулярной структуры платформы;

- возможность высокоэффективной внутрикристальной отладки;

- возможность прототипирования изделий для особых условий эксплуатации на основе функционально идентичных, но более дешевых коммерческих исполнений платформы.
Один из самых успешных разработчиков и производителей в области новых технологий ПЛИС высокой надежности, используемых в тяжелых условиях эксплуатации, – Actel Corp. (), специализирующаяся с 1985 года на производстве ПЛИС как для военных и авиационно-космических приложений, так и для нужд промышленности и потребительского рынка. Компания прочно занимает место в первой тройке мировых производителей ПЛИС общего назначения и уже много лет лидирует на рынке радиационно стойких ПЛИС, выпуская до 80% мирового объема этих изделий для бортового оборудования космических аппаратов. Actel непрерывно вкладывает значительные средства в совершенствование своих технологий. Наивысшие приоритеты развития сегодня – это надежность, которая всегда отличала продукцию корпорации, и обеспечение комплексной интеграции цифровой электроники на одном кристалле ПЛИС.

Сегодня Actel предлагает три основные группы изделий:

* Данная работа не является научным трудом, не является выпускной квалификационной работой и представляет собой результат обработки, структурирования и форматирования собранной информации, предназначенной для использования в качестве источника материала при самостоятельной подготовки учебных работ.

Программируемые логические ИС.

Специализированные полузаказные ИС на базовых матричных кристаллах (БМК), называемых за рубежом вентильными матрицами (Gate Arrays), безусловно, обладают рядом преимуществ. Основным из них является возможность создания на их основе самых различных микросхем при наличии развитых средств проектирования. Именно это, наряду с низкой стоимостью самих кристаллов, и обусловило широкое распространение БМК в 60-70 годы.

Однако весьма очевидны и недостатки матричных кристаллов. Прежде всего речь идет о значительных сроках и затратах на проектирование специализированных ИС на основе БМК. Эта негативная их особенность послужила предпосылкой для появления нового класса специализированных полузаказных микросхем (СПИС) - программируемых логических ИС (ПЛИС). В зарубежной литературе синонимом ПЛИС является аббревиатура PLD-programmable logic devices.

ПЛИС- это интегральные микросхемы, содержащие программируемую матрицу элементов логического И (конъюнкторов), программируемую или фиксируемую матрицу элементов логического ИЛИ (дизъюнкторов) и так называемые макроячейки (в зарубежной литературе-macrocells). Макроячейки, как правило, включают в себя триггер, тристабильный буфер и вентиль исключающее ИЛИ, управляющий уровнем активности сигнала. Размерность матриц и конфигурация макроячеек определяют степень интеграции и логическую мощность ПЛИС.

В сочетании с разнообразными обратными связями перечисленные элементы формируют завершенную автоматную структуру, ориентированную на реализацию как комбинационных (дешифраторов,мультиплексоров, сумматоров), так и последовательностных схем (управляющих автоматов, контроллеров, счетчиков).

В ПЛИС заложены возможновти, которые позволяют превратить ее в ИС с любой функцией цифровой логики. Проектирование сводится к выявлению программируемых элементов (перемычек или запоминающих ячеек), после удаления которых в структуре схемы остаются только те связи, которые необходимы для выполнения требуемых функций. На практике эта задача весьма непростая, так как современные ПЛИС содержат в среднем несколько десятков тысяч перемычек. Поэтому для проектирования обязательно применяют системы автоматизированного проектирования (САПР ПЛИС).

Благодаря наличию различных систем автоматизированного проектирования, а также структурным и технологическим особенностям, ПЛИС представляют технологию рекордно-короткого цикла разработки радиоэлектронной аппаратуры. Причем весь цикл проектирования и изготовления готового устройства осуществляется самим разработчиком, что значителбно снижает стоимость РЭА по сравнению с использованием БМК.

Если за рубежом ПЛИС уже заняли заметное место в арсенале разработчика РЭА, то в России и странах СНГ эта технология только начинает по-настоящему развиваться. Отставание объясняется рядом причин. Во-первых, очень узка номенклатура ПЛИС на нашем рынке элементной базы. Во-вторых, практическая недоступность для наших специалистов современных зарубежных систем проектирования. В-третьих, недостаток информации в технической литературе о ПЛИС и методах работы с ними.

Нужно, однако, отметить, что в начале 90-х годов у нас стали наблюдаться некоторые реальные сдвиги в приминении ПЛИС на отдельных предприятиях. Этому в первую очередь способствовало появление отечественных ПЛИС для решения многих задач. Назовем, например, ПЛИС с плавкими перемычками по технологии ТТЛШ, производимые в НИИМЭ в Зеленограде. В их числе уже давно известные ПЛМ К556РТ1,К556РТ2,К556РТ21 и сравнительно недавно выпускаемые ИС КМ1556ХП4,КМ1556ХП6,КМ1556ХП8,КМ1556ХЛ8, являющиеся аналогами широко распространенных в мире ПЛИС семейства PAL.

Сыграл определенную роль и выход на отечественный рынок фирмы INTEL, представившей в числе своей продукции ПЛИС по технологии КМОП с УФ-стиранием. Наибольшую известность получили ПЛИС 85С060,85С090 и 85C22V10, считавшаяся в 80-х годах мировым промышленным стандартом на ПЛИС.

Основные характеристики зарубежных и отечественных ПЛИС приведены в таблице.

В каких же случаях целесообразно применять ПЛИС ?

Во-первых, при разработке оригинальной аппаратуры , а также для замены обычных ИС малой и средней степени интеграции. При этом значительно уменьшаются размеры устройства, снижается потребляемая мощность и повышается надежность.

Наиболее эффективно использование ПЛИС в изделиях, требующих нестандартных схемотехнических решений. В этих случаях ПЛИС даже средней степени интеграции (24 вывода) заменяет, как правило, до 10-15 обычных интегральных микросхем.

Другим критерием использования ПЛИС является потребность резко сократить сроки и затраты на проектирование, а также повысить возможность модификации и отладки аппаратуры. Поэтому ПЛИС широко применяется в стендовом оборудовании, на этапах разработки и производства опытной партии новых изделий, а также для эмуляции схем, подлежащих последующей реализации на другой элементной базе, в частности БМК.

Отдельная область применения ПЛИС - проектирование на их основе устройств для защиты программного обеспечения и аппаратуры от несанкционированного доступа и копирования. ПЛИС обладают такой технологической особенностью, как "бит секретности", после программирования которого схема становится недоступной для чтения (хотя свои функции ПЛИС, естественно, продолжает выполнять). Обычно применение одной-двух ПЛИС средней степени интеграции оказывается вполне достаточной для надежной защиты информации.

Наиболее широко прграммируемые логические ИС используются в микропроцессорной и вычислительной технике. На их основе разрабатываются контроллеры, адресные дешифраторы, логика обрамления микропроцессоров, формирователи управляющих сигналов и др. На ПЛИС часто изготавливают микропрограммные автоматы и другие специализированные устройства, например, цифровые фильтры, схемы обработки сигналов и изображения, процессоры быстрого преобразования функций Фурье и т.д. В технике связи ПЛИС применяются в аппаратуре уплотнения телефонных сигналов.

Применение ПЛИС становится актуальным еще и потому, что у разработчиков зачастую нет необходимых стандартных микросхем.









Программи́руемая логи́ческая интегра́льная схе́ма (ПЛИС, англ. programmable logic device, PLD) — электронный компонент, используемый для создания цифровых интегральных схем. В отличие от обычных цифровых микросхем, логика работы ПЛИС не определяется при изготовлении, а задаётся посредством программирования (проектирования). Для программирования используются программаторы и отладочные среды, позволяющие задать желаемую структуру цифрового устройства в виде принципиальной электрической схемы или программы на специальных языках описания аппаратуры Verilog, VHDL, AHDL и др. Альтернативой ПЛИС являются: базовые матричные кристаллы, требующие заводского производственного процесса для программирования; ASIC — специализированные заказные БИС (большие интегральные схемы), которые при мелкосерийном и единичном производстве существенно дороже; специализированные компьютеры, процессоры (например, цифровой сигнальный процессор) или микроконтроллеры, которые из-за программного способа реализации алгоритмов медленнее ПЛИС. Некоторые производители ПЛИС предлагают программные процессоры для своих ПЛИС, которые могут быть модифицированы под конкретную задачу, а затем встроены в ПЛИС. Тем самым обеспечивается уменьшение места на печатной плате и упрощение проектирования самой ПЛИС.

Программируемые логические интегральные схемы (ПЛИС) появились полтора десятилетия назад как альтернатива программируемым логическим матрицам (ПЛМ). От последних ПЛИС отличаются как по архитектуре, так и по технологии изготовления.
ПЛМ представляет собой матрицу многовходовых (несколько десятков входов) логических элементов с триггерами, в которых перемычками программируются конституенты единиц дизъюнктивных нормальных форм функций этих элементов. Вначале перемычки выполнялись в виде пережигаемых тонких проводничков. Теперь перемычки выполняются в виде МОП-транзистора с плавающим затвором, как в электрически перепрограммируемом ПЗУ, т.е. ПЛМ изготовляются по технологии флэш-памяти. Большие ПЛМ (CPLD) отличаются только тем, что несколько ПЛМ собраны на одном кристалле и объединены программируемым полем связей.

ПЛИС представляет собой матрицу маловходовых (от двух до пяти входов) логических элементов, триггеров, отрезков линий связи, соединяемых перемычками из полевых транзисторов. Судя по английскому названию - Field Programmable Gate Array (FPGA) - ПЛИС программируются изменением уровня электрического поля (field) в затворах этих транзисторов. В отличие, например, от LPGA - Laser Programmable Gate Array. Затворы всех "программирующих" полевых транзисторов подключены к выходам триггеров одного длинного сдвигового регистра, который заполняется при программировании ПЛИС. Некоторые из участков этого регистра могут также выполнять роль ячеек ПЗУ.
Прошивка обычно хранится в ПЗУ, стоящем рядом с ПЛИС и после включения питания или по сигналу сброса она автоматически переписывается в программирующий сдвиговый регистр ПЛИС. Этот процесс называется конфигурированием ПЛИС. Так как основу ПЛИС составляют триггеры, хранящие прошивку, то ПЛИС изготавливаются по технологии микросхем статического ОЗУ.

По сравнению с CPLD, ПЛИС выигрывают, во-первых, в неограниченном количестве перепрограммирований, во-вторых, в логической емкости, в том числе в удельной емкости вентилей на цент, в-третьих, в малом энергопотреблении.

Как правило, ПЛИС имеют на два - три порядка большую емкость в числе эквивалентных логических вентилей, чем CPLD и также как статическое ОЗУ, почти не потребляют энергии при отсутствии переключений. Кроме того, у ПЛИС на порядок выше надежность (ниже интенсивность отказов), чем у CPLD.

Много сомнений у пользователей возникает с защитой проекта от копирования. Действительно, прошивка ПЛИС хранится во внешнем ПЗУ, содержимое которого просто копируется. Но изменить или расшифровать прошивку, например, для скрытия авторства или восстановления схемы, практически невозможно, так как семантика битов в файле прошивки - секрет фирмы, а неосторожное изменение ее может вывести ПЛИС из строя. Если требуется защита, то загрузку программы выполняют с помощью внешней CPLD, автомат в которой обеспечивает защиту проекта. В ПЛИС новых поколений предусматривается шифрование прошивки, например, с помощью встроенного шифрователя DES с обеспечением сохранения ключа с помощью батарейки.

Стремясь к достижению высоких технических характеристик и потребительских качеств своей продукции, разработчики электронных устройств используют специализированные ИС (СПИС). Их применение обеспечивает следующие преимущества:
- уменьшение габаритов устройства. Применение СПИС позволяет снизить количество ИС, уменьшить размеры печатных плат и тем самым сократить габариты всего устройства;
- повышение технических характеристик. Уменьшение количества ИС приводит к повышению системного быстродействия и сокращению потребляемой мощности;
- повышение надежности. Так как вероятность ошибки или поломки устройства прямо пропорциональна количеству ИС, надежность устройств, использующих СПИС, значительно возрастает;
- обеспечение защиты разработки. Так как скопировать устройство, содержащее СПИС, значительно сложнее (а иногда практически невозможно), чем устройство на стандартных компонентах, применение СПИС позволяет обеспечить авторские права разработчика;
- повышение гибкости модификации. Так как модификация СПИС не требует, как правило, переработки остальных узлов, переразводки печатных плат и т.д., возможности отладки и модификации устройства значительно повышаются.

В большинстве случаев в литературе выделяют следующие классы СПИС (ASIC) [3]:
- программируемые пользователем ИС – ПЛИС (PLD).

- масочно-программируемые ИС – базовые матричные кристаллы (БМК) или вентильные матрицы (Gate Arrays).

- ИС на стандартных ячейках (Standard Cells).

- полностью заказные ИС (Full Custom).

ПЛИС и БМК относятся к категории полузаказных ИС, поскольку внутрисхемная топология частично формируется при производстве самих ИС, а частично программируется в соответствии с требованиями потребителя. Остальные СПИС являются заказными, т.к. вся топология схемы с учетом требуемых функций разрабатывается при производстве кристаллов.
Классификация СПИС приведена на рис.1., из которой видно, какое направление и раздел СПИС занимает ПЛИС:


Рис.1. Классификация СПИС

^ 2. Принцип работы, структура ПЛИС, скоростные характеристики, в каких корпусах выпускается, примеры устройств, фирмы реализации, рынок (объем выпуска), тенденции.


  • Серия Virtex

  • Серия Spartan

  • Серия ХС4000

  • Серия ХС5200

  • Серия ХС3000

  • внутренние буфера с возможностью переключения в высокоомное состояние и тем самым позволяющие организовать системные двунаправленные шины

  • индивидуальный контроль высокоомного состояния и времени нарастания фронта выходного сигнала по каждому внешнему выводу

  • наличие общего сброса/установки всех триггеров ПЛИС

  • множество глобальных линий с низкими задержками распространения сигнала

  • наличие внутреннего распределённого ОЗУ Xilinx, реализующегося посредством тех же LUT – таблиц (серии Spartan, Virtex, XC4000).

  • наличие внутреннего блочного ОЗУ, один блок имеет ёмкость 4 кбит (семейства Virtex, Virtex-E, Spartan-II, Spartan-IIE) или 18 кбит (семейства Virtex-II и Virtex-IIPro), всего блоков до 556 на кристалл

  • наличие встроенных блоков умножителей 18х18 (семейства Virtex-II и Virtex-IIPro), всего блоков до 556 на кристалл

  • наличие встроенных блоков процессоров PowerPC-405 (семейство Virtex-IIPro), до 4 процессоров на кристалл

  • наличие высокоскоростных трансиверов(семейство Virtex-IIPro), до 24 со скоростью передачи данных 3.125 ГБит/с каждый

^ Процесс конфигурации

Конфигурационная последовательность (bitstream) может быть загружена в прибор непосредственно в системе и перегружена неограниченное число раз. Инициализация ПЛИС производится автоматически (из загрузочного ПЗУ Xilinx) при подаче напряжения питания или принудительно по специальному сигналу. В зависимости от ёмкости ПЛИС процесс инициализации занимает от 20 до 900 мс, в течение которых выводы ПЛИС находятся в высокоомном состоянии (подтянуты к логической единице).

^ Потребление энергии

Статическое потребление энергии достаточно мало и для некоторых серий составляет единицы микроватт. Динамическое же потребление пропорционально возрастает с частотой функционирования проекта и зависит от степени заполнения кристалла, характера логической структуры проекта на кристалле, параметров режима внешних выводов ПЛИС и т. д.

Корпуса
Для каждого отдельно взятого семейства ПЛИС Xilinx существует преемственность кристаллов по типу корпуса и, соответственно, цоколёвке, то есть в одни и те же корпуса упаковываются ПЛИС различного логического объёма. Например, в корпусе PQ/HQ240 имеются ПЛИС с ёмкостью от 13тыс. (XC4013XLA) до 85 тыс. вентилей (XC4085XLA), что позволяет разработчику, задавшись на этапе проектирования печатной платы определённым типом корпуса, в дальнейшем устанавливать ПЛИС наиболее подходящего размера.

Сегодня в России, как и во всем мире, подходы к созданию электронных устройств и систем, работающих в тяжелых условиях эксплуатации, существенно меняются. Основная тенденция – переориентация на специализированные изделия с сокращенным циклом проектирования и производства, что позволяет достигать максимальной эффективности при выполнении конкретных задач управления, контроля и сбора информации.

- встроенный микропроцессор ориентирован преимущественно на выполнение задач управления, а не обработки данных;

- поток данных в системе организован непосредственно между контроллерами, а не через микропроцессорную шину.

- значительное сокращение расходов на изготовление микросхем и экономический эффект при реализации проектов малой и средней серийности (до десятков тысяч штук);
- существенное сокращение сроков выпуска новых изделий на рынок (time to market);
- гибкая конфигурируемость системы в соответствии с текущими нуждами конкретного проекта и задачами упрощения модификации; – повышенная надежность изделия благодаря 100%-ному тестированию производителем регулярной структуры платформы;

- возможность высокоэффективной внутрикристальной отладки;

Сегодня Actel предлагает три основные группы изделий:

Основное отличие ПЛИС компании от традиционных матриц на основе ячеек СОЗУ – это способ хранения конфигурации. Элементы памяти (перемычки в семействах Antifuse и флэш-ключи в семействах Flash) ПЛИС Actel распределены по всей площади кристалла и являются одновременно ключами, задающими конфигурацию. Такое технологическое решение позволяет избавиться от потенциально ненадежной коммутационной матрицы (ГКМ) на основе ячеек СОЗУ, не защищенных от высокоэнергетических частиц, воздействующих на электронные устройства даже на уровне моря, а также отказаться от всех элементов, участвующих в процессе загрузки конфигурации. На сегодняшний день аналогов этой технологии нет.

Рассмотрим современные семейства ПЛИС, предлагаемые компанией Actel. Новые семейства однократно программируемых ПЛИС, выполненных по технологии Antifuse, характеризуются следующими особенностями:

- рекордной надежностью – FIT, или число отказов/сбоев на 109 ч наработки не более 10;
- чрезвычайно низким энергопотреблением;

- большой логической емкостью – до 4 млн. системных вентилей;

- рекордной системной производительностью – свыше 500 МГц;

- отсутствием процесса загрузки конфигурации и готовностью к работе с момента подачи питания;
- защищенностью от воздействия высокоэнергетических частиц (даже у коммерческих изделий) – свыше 60 МэВ/см2 и высокой радиационной стойкостью – накопленная доза (TID) более 300 крад;

- отсутствием возможности несанкционированного считывания конфигурации – конфигурация защищена технологией FuseLock, при запуске нет конфигурационной последовательности (bit-stream);

- доступом специализированного логического анализатора к любому элементу работающей схемы без затрат трассировочных ресурсов самой ПЛИС;

- широким выбором поддерживаемых стандартов ввода-вывода -LVDS, HSTL1, SSTL2/3, GTL+, LVTTL, LVCMOS, LVPECL;

- полной совместимостью по корпусам изделий различной емкости и в различном исполнении: от коммерческих до выполненных в соответствии со стандартом MIL-STO-883B и радиационно стойких;

- высокой экономической эффективностью.

Выпускаемые компанией Actel многократно программируемые матрицы на основе Flash-технологии имеют следующие достоинства:

- возможность перепрограммирования непосредственно в системе (ISP);

- логическая емкость до 1 млн. системных вентилей;

- высокая системная производительность – до 350 МГц;

- готовность к работе с момента подачи питания – отсутствует процесс загрузки конфигурации;
- высокая радиационная стойкость – накопленная доза до 100 крад и устойчивость к воздействию высокоэнертегических частиц свыше 60 МэВ/см2 (для микросхем в исполнении MIL-STD-883B);
- отсутствие возможности несанкционированного считывания конфигурации – конфигурация защищается технологией FlashLock, конфигурационная последовательность при запуске отсутствует;
- богатый выбор поддерживаемых стандартов ввода-вывода;

^ КЛАССИФИКАЦИЯ ПЛИС

Микросхемы, программируемые пользователями, открыли новую страницу в истории современной микроэлектроники и вычислительной техники. Они сделали БИС/СБИС, предназначенные для решения специализированных задач, стандартной продукцией электронной промышленности со всеми вытекающими из этого положительными следствиями: массовое производство, снижение стоимости микросхем, сроков разработки и выхода на рынок продукции на их основе. ПЛИС можно классифицировать по многим признакам, в первую очередь:

- по уровню интеграции и связанной с ним логической сложности;
- по архитектуре (типу функциональных блоков, характеру системы межсоединений);
- по числу допустимых циклов программирования;

- по степени зависимости задержек сигналов от путей их распространения;
- по системным свойствам;

- по схемотехнологии (КМОП, ТТЛШ и др.);

- по однородности или гибридности (по признаку наличия или отсутствия в микросхеме областей с различными по методам проектирования схемами, такими как ПЛИС, БМК, схемы на стандартных ячейках).

Все перечисленные признаки имеют значение и отображают ту или иную сторону возможных классификаций. Выделяя основные признаки и укрупняя их, рассмотрим классификацию по трем, в том числе двум комплексным, признакам:
- по архитектуре;

- по уровню интеграции и однородности/гибридности;

- по числу допустимых циклов программирования и связанному с этим типу памяти конфигурации.

В классификации по первому признаку (рис. 2, а) ПЛИС разделены на 4 класса.
^ Первый из классов — SPLD , Simple Programmable Logic Devices, т. е. простые программируемые логические устройства. По архитектуре эти ПЛИС делятся на подклассы программируемых логических матриц ПЛМ (PLA, Programmable Logic Arrays) и программируемой матричной логики ПМЛ (PAL, Programmable Arrays Logic, или GAL, Generic Array Logic).

Оба эти подкласса микросхем реализуют дизъюнктивные нормальные формы (ДНФ) переключательных функций, а их основными блоками являются две матрицы: матрица элементов И и матрица элементов ИЛИ, включенные последовательно. Такова структурная модель ПЛМ и ПМЛ. Технически они могут быть выполнены и как последовательность двух матриц элементов ИЛИ-НЕ, но варианты с последовательностью матриц И-ИЛИ и с последовательностью матриц ИЛИ-НЕ — ИЛИ-НЕ функционально эквивалентны, т. к. второй вариант согласно правилу де Моргана тоже реализует ДНФ, но для инверсных значений переменных.
На входы первой матрицы поступают n входных переменных в виде как прямых, так и инверсных значений, так что матрица имеет 2n входных линий. Таким образом, отпадает необходимость специально инвертировать входные переменные и на промежуточных шинах можно реализовать любую конъюнкцию входных переменных и их инверсий, а также переменных обратных связей. На выходах матрицы И формируются конъюнктивные термы, ранг которых не выше n. В дальнейшем для краткости конъюнктивные термы называются просто термами.


Рис.2. Классификация ПЛИС ( а – по архитектуре , б – по уровню интеграции)


Возможность ПМЛ передачи значения выходного сигнала по цепи обратной связи на вход матрицы И позволяет в одном устройстве строить многоуровневые каскадные схемы. Однако следует избегать случаев, когда значение некоторой функции является аргументом этой же функции, так как в подобной ситуации схема перестаёт быть комбинационной и переходит в класс последовательностных схем, а отсутствие в циклах элементов задержки приводит к непредсказуемости поведения схемы.

Обобщенная структура универсальных ПМЛ (рис.4.) включает n входов, программируемую матрицу И, m выходных макроячеек (MC) с одной обратной связью и m2 макроячеек (MCF) с двумя обратными связями. Архитектура макроячейки с двумя обратными связями показана на рис. 5.

Поэтому из двух функций yi или ¯yi для реализации можно выбрать наиболее подходящую (например, которая требует для реализации меньше промежуточных шин), а необходимый вид функции на выходе ПМЛ образуется путём программирования логического уровня выходного сигнала.


Рис. 4. Обобщённая структура универсальных ПМЛ

Макроячейки с двумя обратными связями допускают одновременное использование в двух целях: для реализации промежуточных функций и для приёма входных переменных.


Рис. 5. Обобщённая структура выходной макроячейки универсальных ПМЛ с двумя обратными связями

- раскрытие всех основных понятий и определений
- наиболее точное и подробное описание классификации ПЛИС
- изучение области применения ПЛИС
- рассмотрение основных производителей современных ПЛИС
- описание особенностей проектирования цифровых устройств на базе ПЛИС

Содержание работы

Введение
Глава 1.
Общие сведения о программируемых логических интегральных схемах
Классификация программируемых логических интегральных схем (ПЛИС)
Классификация ПЛИС по степени интеграции
Архитектура функционального преобразователя ПЛИС
Организация внутренней структуры ПЛИС
Наличие внутренней RAM-памяти
Технология изготовления конфигурационных элементов ПЛИС
Конфигурационный элемент EPROM
Конфигурационный EEPROM
Конфигурационный элемент FLASH
Конфигурационный элемент SRAM
Конфигурационный элемент ANTIFUSE
Глава 2
Области применения ПЛИС
Достоинства и недостатки ПЛИС
Обзор семейств ПЛИС фирмы Altera
Основные производители современных ПЛИС-компьютеров и комплектующих к ним
Особенности проектирования цифровых устройств на базе ПЛИС
Применение программируемых логических интегральных схем для решения задачи автоматической генерации тестовых кодов
Заключение
Литература

Содержимое работы - 1 файл

ПЛИС.doc

МИНИСТЕРСТВО ОБРАЗОВАНИЯ РОССИЙСКОЙ ФЕДЕРАЦИИ

Филиал Казанского государственного технического
университета им А.Н. Туполева г. Елабуга

Курсовой проект по предмету:

Системы реального времени

Целью данной курсовой работы является:

- раскрытие всех основных понятий и определений

- наиболее точное и подробное описание классификации ПЛИС

- изучение области применения ПЛИС

- рассмотрение основных производителей современных ПЛИС

- описание особенностей проектирования цифровых устройств на базе ПЛИС

Общие сведения о программируемых логических интегральных схемах

Классификация программируемых логических интегральных схем (ПЛИС)

Классификация ПЛИС по степени интеграции

Архитектура функционального преобразователя ПЛИС

Организация внутренней структуры ПЛИС

Наличие внутренней RAM-памяти

Технология изготовления конфигурационных элементов ПЛИС

Конфигурационный элемент EPROM

Конфигурационный элемент FLASH

Конфигурационный элемент SRAM

Конфигурационный элемент ANTIFUSE

Области применения ПЛИС

Достоинства и недостатки ПЛИС

Обзор семейств ПЛИС фирмы Altera

Основные производители современных ПЛИС-компьютеров и комплектующих к ним

Особенности проектирования цифровых устройств на базе ПЛИС

Применение программируемых логических интегральных схем для решения задачи автоматической генерации тестовых кодов


Введение

Современный этап развития средств электронной и вычислительной техники характеризуется двумя противоречивыми тенденциями:

 с одной стороны, увеличивается их сложность и ужесточаются требования, предъявляемые потребителями к быстродействию, надёжности, энергопотреблению, стоимости;

 с другой, их жизненный цикл существенно сокращается.

Особое значение в этом случае приобретает время выхода на рынок нового изделия. Следовательно, сроки, установленные на проведение разработки и макетирования, сокращаются, а требования, предъявляемые к качеству новых изделий, становятся всё более жёсткими.

В табл.1 показаны основные этапы развития конструктивно-технологических признаков изделий электронной техники. Если развитие первых поколений техники происходило за счет новых технологий изготовления, соотношения числа транзисторов на кристалле, быстродействия, то в последних поколениях (IV – V) резервы совершенствования технологий практически исчерпаны: любые улучшения достигаются более дорогой ценой. Следовательно, развитие электронной техники будет происходить, в основном, за счет совершенствования систем автоматизированного проектирования и широкого применения специализированных БИС.

Проектирование специализированных БИС позволяет выполнять функции, которые не реализуются в стандартных ИС, улучшать характеристики схем, снижать габаритные размеры, массу, мощность, повышать надежность электронных средств (ЭС). Основу специализированных БИС составили программируемые логические интегральные схемы (ПЛИС). Одним из основных преимуществ ПЛИС является возможность синтеза различных устройств без изменения различных устройств без изменения технологического базиса, а также значительное сокращение сроков проектирования.

Первые ПЛИС в их простейшем варианте (PAL/GAL) появились в конце 70-х годов и являлись вспомогательной элементной базой для создания единичных и малосерийных комбинационных и последовательностных автоматов сложностью до нескольких десятков эквивалентных вентилей 2И-НЕ.

В последние годы рынок ПЛИС значительно расширился с появлением новых архитектур и семейств микросхем, что накладывает дополнительные обязанности

на разработчика аппаратуры: из всего многообразия архитектур и семейств инженер должен выбрать лучший кристалл для своего проекта. Корректный выбор повлечёт за собой успех на рынке, и наоборот, неудачный выбор приведёт к неоправданным экономическим потерям и последующим переработкам проекта.

Классификация конструктивно-технологических признаков изделий электронной техники по поколениям

Читайте также: