Алу это в информатике кратко

Обновлено: 05.07.2024

Центральным элементом любой ЭВМ является процессор. А центральным элементом любого процессора является АЛУ - арифметико-логическое устройство. Именно АЛУ, в конечном итоге, выполняет все, даже самые сложные, операции. Но, как и многое настоящие труженики, зачастую остается в тени славы, которая достается процессорам и ЭВМ.

Даже при изучении архитектуры процессоров АЛУ лишь изображают символом на функциональной схеме, не углубляясь в детали его устройства. АЛУ слишком низкоуровневое, что там может быть интересного. Между тем, интересного там много.

Давайте сегодня попробуем заглянуть внутрь одного из базовых кирпичиков процессоров. Я не буду рассматривать какую либо конкретную ЭВМ, конкретный процессор, конкретную микросхему. Я расскажу немного об АЛУ в общем и целом. Но мы обязательно заглянем внутрь этого хитрого устройства.

Статья не является учебником. Это не копия каких либо книг или учебников. Это не компиляция других источников и статей. Как и другие статьи канала, это мой личный опыт.

Что это вообще такое, АЛУ?

Разве собственно названия не достаточно для ответа на этот вопрос? Нет, недостаточно. АЛУ выполняет логические и арифметические операции, это правда. Но этого недостаточно для того, что бы перейти к более детальному рассмотрению его внутреннего устройства. Давайте начнем с самого простого

При все простоте и тривиальности, я не сомневаюсь, что вы все это и так прекрасно знаете, иллюстрация показывает важные моменты. Во первых, операция (в данном случае, сложение) выполняется над двумя операндами. В математике операнды назывались бы переменными. Операндов может быть и больше, но этот случай приводится к последовательности операций над двумя операндами. Операнд может быть всего один, но это частный случай, например

Суть от этого не меняется. Операция это то, что делается. Операнды это то, над чем выполняется операция.

АЛУ это не процессор! Это один из многих узлов процессора. АЛУ ничего не знает о переменных и их адресах. Не обращается к памяти для выборки данных. АЛУ работает с входными сигналами (a, b, op, Ci) которые и являются для него данными, формируя на их основе выходные сигналы (y, Co).

АЛУ на функциональных схемах обозначается таким вот забавным, похожим на шорты, символом. Это историческое обозначение. На функциональных схемах показывают не переменные, и даже не операнды, а сигналы. Поэтому наши два операнда превратились в два сигнала, a и b .

Выполняемая операция теперь тоже сигнал, op . Мы должны обязательно передать этот сигнал в АЛУ, именно он определяет, что АЛУ будет делать с входными сигналами. На выходе, в результате выполнения заданной операции, будет сформирован сигнал y , это результат операции.

Но всё ли это? Нет, не все. Этого достаточно для выполнения логических операций ( почти всех), но арифметические операции порождают еще и понятия переноса и заема . На первый взгляд, это внутреннее дело АЛУ. Но на самом деле, перенос/заем могут быть и входным сигналом, и выходным. Чуть далее мы это увидим.

А значит, более точно будет вот так

Операция, в общем случае, выполняется над тройкой операндов. Результат операции представляется парой значений. Иллюстрация моя

Операция, в общем случае, выполняется над тройкой операндов. Результат операции представляется парой значений. Иллюстрация моя

Здесь я показал современное изображение АЛУ. Перенос/заем обычно называется просто переносом и обозначается С (от carry - переносить). Не смотря на то, что для операции вычитания это будет заем. Ci это входной перенос (i - in), а Co выходной (o - out).

Немного о разрядности

Здесь у нас два АЛУ, каждое из которых обрабатывает свою тетраду байта. При этом выходной сигнал переноса АЛУ младшей тетрады является выходным для АЛУ старшей тетрады. Без этого результат операции над байтом в целом был бы неверным.

То есть, переносы действительно являются не только внутренним делом АЛУ, даже в таком упрощенном случае. Разрядность сигнала переноса равна единице - это один бит. И он настолько важен, что для него предусмотрено место в слове состояния процессора. Но слово состояния процессора не тема сегодняшнего разговора.

Обратите внимание, что в некоторых процессорах в слове состояния есть дополнительный флаг межтетрадного переноса. Для нашего примера его легко реализовать с помощью сигнала Co АЛУ младшей тетрады.

В данном случае разрядность операндов/сигналов каждого АЛУ равна четырем. Я показал это на иллюстрации. Но, в общем случае, разрядность АЛУ может быть любой . В разумных пределах, конечно.

При этом даже одноразрядные АЛУ имеют практический смысл и практическое применение. На одноразрядном АЛУ можно построить последовательный процессор, который будет обрабатывать информацию бит за битом, последовательно.

Думаете, такие процессоры не имеют смысла и никогда не существовали? Ошибаетесь! Такие процессоры использовались, например, в настольных ЭВМ (программируемых калькуляторах) Wang. Я упоминал их в статье

Мы пока обошли стороной вопрос сигнала op . Это немного более сложный вопрос, так как операция может задаваться и кодом, и набором отдельных сигналов. Чуть далее мы рассмотрим это подробнее. Пока же будет достаточно точным говорить, что операция задается кодом. Соответственно, разрядность сигнала op определяется количеством различных операций, которые может выполнять АЛУ.

Обратите внимание, что разрядность кода операции никак не связана с разрядностью АЛУ !

Для данной статьи вопрос разрядности АЛУ не имеет большого значения. Ведь мы рассматриваем работу именно АЛУ, а не процессора, в котором оно используется.

Последовательное или параллельное?

Требуемую операцию можно выполнять для единицы данных (байт, слово, двойное слово, и т.д.) целиком, одновременно, параллельно, для всех ее разрядов (бит). Такие АЛУ называются параллельными . А можно выполнять операцию над каждым битом (группой бит) единицы информации по отдельности, друг за другом, последовательно. Такие АЛУ называются последовательными .

Но ведь последовательные АЛУ гораздо медленнее параллельных. Например, для байта, последовательное АЛУ потребует 8 циклов для выполнения операции вместо одного. Для чего вообще эти последовательные АЛУ нужны?

Например, последовательное АЛУ позволяет строить процессоры переменной разрядности, причем изменяемой "на лету", во время выполнения программы. И это может оказаться более важным, чем снижение быстродействия.

В реальном мире чистые последовательные и параллельные АЛУ (да и процессоры) не встречаются. Например, чуть ранее мы видели, как можно объединить два 4-х разрядных АЛУ. При этом обработка двух тетрад выполняется параллельно. Но ведь сигнал переноса не может быть обработан АЛУ старшей тетрады до тех пор, пока АЛУ младшей тетрады не закончит выполнение операции и не сформирует выходной сигнал переноса. Значит, для переносов обработка будет последовательной.

Статическое или динамическое (последовательностное)?

Во многих случаях выходные сигналы АЛУ напрямую определяются сигналами входными. То есть, АЛУ является комбинационным логическим элементом. Такое АЛУ и называется статическим.

Однако, последовательное АЛУ не может быть статическим. Обратите внимание, последовательное АЛУ не тождественно однобитному! Например, данные могут передаваться в АЛУ парами бит, всего 4 такта для байта. Такое АЛУ будет последовательным, но не однобитным. А выходной перенос, например, может формироваться лишь после обработки всей последовательности бит единицы информации.

Последовательное АЛУ для правильного формирования результата должно сохранять внутреннее состояние между тактами (шагами) выполнения операции. То есть, оно уже будет не комбинационным, а последовательностным логическим элементом. Такое АЛУ является динамическим.

Условные временные диаграммы работы статического (разрядность любая) и динамического АЛУ. Иллюстрация моя

Условные временные диаграммы работы статического (разрядность любая) и динамического АЛУ. Иллюстрация моя

Видно, что для статического АЛУ выходные сигналы появляются с некоторой задержкой относительно входных сигналов. А для динамического АЛУ все немного сложнее. Да, выходные сигналы по прежнему формируются с некоторой задержкой. Но операция теперь полностью выполняется за несколько тактов. Причем выходной перенос формируется уже после полного выполнения операции над всеми разрядами. Динамическому АЛУ нужен источник тактовых импульсов.

Еще раз обращаю ваше внимание, что речь идет именно об АЛУ, а не о процессорах.

В некоторых случаях на входах и выходе АЛУ, внутри АЛУ, имеются регистры-защелки для временного хранения обрабатываемой АЛУ информации. Например, это может потребоваться для процессоров с многофазной системой тактирования или процессоров с распараллеливанием выполнения команд.

Для выполнения операции в таких АЛУ требуется несколько шагов: запись данных в входные регистры, выдача кода операции, чтение выходного регистра. Такие АЛУ являются последовательностными, но не обязательно динамическими. Так как собственно операция может выполняться и параллельно.

Типы операций, набор операций

Поскольку устройство арифметико-логическое, разумно предположить, что оно выполняет логические и арифметические операции. При этом выполняемые операции зачастую просты, даже элементарны. А более сложные операции, которые можно разбить на несколько элементарных, выполняются уже процессором, на более высоком уровне. Это не обязательно так, но это самый распространенный случай.

Так же надо отметить, что информационные входы АЛУ (a и b) не обязательно равнозначны. Да и включение АЛУ в схему процессора в большинстве случаев не симметрично. Но это тема отдельного разговора.

Логические операции

Логические операции можно разделить на две условные группы: основную и расширенную. Список основных логических операций не велик:

  • НЕ , отрицание, инверсия. Эта операция требует один операнд. Поэтому она может представлена как две операции: НЕ(а) и НЕ(b).
  • ПОВТОР . Это просто передача выходного сигнала на выход, без каких либо изменений. Эта операция может показаться излишней, но это не так. В частности, занесение константы в регистр процессор выполняет именно с помощью этой операции АЛУ. Требует один операнд.
  • И . В особых пояснениях не нуждается. Требует два операнда.
  • ИЛИ . В особых пояснениях не нуждается. Требует два операнда.
  • ИСКЛЮЧАЮЩЕЕ ИЛИ . Тоже не требует особых комментариев, на первый взгляд. Однако, эта операция не так проста. По сути, ИСКЛЮЧАЮЩЕЕ ИЛИ это не только логическая операция, но и арифметическая. Как арифметическая она формирует полусумму операндов. Почему полусумму? Потому что перенос не учитывается. Чуть позже мы это рассмотрим подробнее.

Расширенная группа логических операций может включать в себя И-НЕ, ИЛИ-НЕ, И(a, НЕ(b)), ИЛИ(a, НЕ(b)), и им подобные. Эти операции не обязательно реализуются специально, нередко они получаются как побочный эффект от схемотехнических решений при построении АЛУ.

При логических операциях перенос не используется.

Арифметические операции

Основные арифметические операции так же просты:

  • Сложение .
  • Вычитание .
  • Увеличение . Инкремент, +1. По сути, это сложение. Но операция настолько востребована, что часто реализуется отдельно.
  • Уменьшение . Декремент, -1.
  • Смена знака . Смена знака числа не тождественна инверсии.

К расширенным арифметическим операциям относятся:

Да, мы все еще со школы знаем, что умножение и деление, вместе с сложением и вычитанием, являются основными действиями арифметики. Но их аппаратная реализация сложна, поэтому далеко не все процессоры их поддерживают. А если и поддерживают, то не всегда на аппаратном уровне, может быть и микропрограммная реализация.

Реализация умножения и деления в АЛУ встречается не часто. Зачастую это отдельные блоки умножителей/делителей.

В специализированных случаях могут быть реализованы и дополнительные операции, которые позволяют облегчить выполнение более сложных, комплексных, операций. Но это не обязательно на уровне АЛУ.

В арифметических операциях переносы имеют важное значение. Так сложение отличается от исключающего ИЛИ тем, что учитывает переносы между разрядами.

Дополнительным моментом, который надо учитывать, является существование знаковых и беззнаковых чисел. Это не всегда влияет на схемотехнику АЛУ, собственно выполнение операций. Но часто требует дополнительных схемотехнических решений для формирования служебных признаков (флагов результата, например).

Сдвиги

А вот эти операции нередко выполняются не АЛУ, а отдельными сдвигателями, которые могут включаться на входах АЛУ, но вне собственно АЛУ. Тем не менее, иногда АЛУ может выполнять и простые сдвиги на один разряд вправо или влево.

Заключение (промежуточное)

Сегодня мы "окинули взглядом" самые общие вопросы, касающиеся АЛУ и его работы. Процессоры могут включать в себя несколько разных АЛУ, с разной функциональностью, разным набором выполняемых операций.

В следующей статье займемся его внутренним устройством. При этом будем рассматривать лишь статические АЛУ. Надеюсь, будет интересно.


Что такое АЛУ? Арифметико-логическое устройство, одна из составляющих процессора. В статье мы приглашаем вас узнать принципы его действия, историю создания, основные характеристики, выполняемые операции, существующие классификации АЛУ.

Определение понятия

Арифметико-логическое устройство - один из блоков процессора, управляемый УУ (устройством управления). Его предназначение: выполнение логических и арифметических преобразований над данными-операндами (аргументами операции, информацией, обрабатываемой программой). Разрядность операндов в данном случае - размер или длина машинного слова.

Современное многофункциональное АЛУ состоит сегодня из двух частей:

  • Операционное устройство.
  • Устройство управления. Проводит вторичную дешифрацию кодов команды, определяет операцию, выполняемую в арифметико-логическом устройстве.

, арифметико логическое устройство компьютера

Набор выполняемых операций

Важно знать, какие операции должно исполнять АЛУ для того, чтобы обладать функциональной полнотой. Как правило, хватает четырех:

  • Обращение к памяти устройства для чтения или записи информации.
  • Декремент/инкремент.
  • Сравнение. Здесь реализуется возможность условного перехода.
  • Остановка функционирования устройства.

Если мы обратимся к первым арифметико-логическим устройствам, то увидим, что количество выполняемых ими операций ограничивалось 16-ю. Современные АЛУ способны выполнять сотни! Кстати, число операций и сегодня является важнейшей характеристикой данных устройств.

Классификация АЛУ

Мы помним, арифметико-логическое устройство - устройство управления и операционное. Но не все современные и исторические АЛУ одинаковы. Далее мы приведем самые распространенные их классификации.

По способу представления информации:

  • С плавающей запятой.
  • С фиксированной запятой.

По способу действий с операндами:

  • Параллельные. В этом случае операции над всеми разрядами выполняются АЛУ одновременно.
  • Последовательные. В данном случае операции будут выполняться по очереди, последовательно над каждым из разрядов.
  • Параллельно-последовательные. Слово данных здесь делится на слоги. Обработка информации в таком АЛУ (арифметико-логическом устройстве) ведется параллельно над разрядами слога и последовательно над самими слогами.

По применению систем исчисления:

  • Двоичные.
  • Двоично-десятичные.
  • Восьмеричные.
  • Шестнадцатиричные и проч.

По особенностям использования узлов и элементов:

  • Блочные. Для выполнения отдельных арифметических операций в систему арифметико-логического устройства процессора вводят специальные блоки. Последние позволяют вести параллельно процессы обработки информации.
  • Конвейерные. Чем отличаются АЛУ такого типа? Любая операция будет разбиваться на последовательность из микроопераций. Они выполняются за определенные такты (равные временные промежутки) на разных ступенях такого конвейера. Операция над потоком операндов, таким образом, выполняется каждый такт.
  • Многофункциональные. Это универсальные АЛУ, которые способны исполнить множество операций в одном устройстве. Однако здесь требуется настройка на выполнение конкретной операции с помощью ее кода.

По временным характеристикам:

  • Синхронные. В таких арифметико-логических устройствах компьютера каждая операция станет выполняться за один такт.
  • Асинхронные. Соответственно, нетактируемые АЛУ. Обеспечивают высокую степень быстродействия, так как выполняются на комбинационных схемах.

По характеристике устройства управления:

  • Имеющие микропрограммное управление.
  • С жесткой логикой УУ.

арифметико логическое устройство является составной частью

Основные функции

Арифметико-логическое устройство является составной частью процессора компьютера. АЛУ будет выполнять следующие функции:

  • Двоичной арифметики для информации в форматах с фиксированной точкой.
  • Двоичной арифметики для информации в форматах с плавающей точкой.
  • Арифметики двоично-десятичного представления сведений.
  • Логические операции (арифметические и логические сдвиги).
  • Пересылка информации.
  • Работа с символьными данными.
  • Работа с графической информацией.

Главные количественные характеристики

Составные части арифметико-логического устройства (ОУ и УУ) определяют количественные характеристики всей системы АЛУ. В частности, это следующее:

  • Время выполнения одной операции.
  • Скорость выполнения операций вообще.
  • Число исполняемых операций.
  • Точность предоставленной информации.

арифметико логическое устройство является составной частью

Главные качественные характеристики

Арифметико-логическое устройство (АЛУ) является составной частью процессора. Это определяет его важнейшие качественные характеристики:

  • Структурные особенности системы АЛУ.
  • Методики кодирования данных.
  • Форматы представления информации - с плавающей или фиксированной точкой.

История возникновения

Создателем арифметико-логических устройств считается Джон фон Нейман, разработчик компьютеров ЭНИАК (электронных числовых вычислителей).

Уже в 1945 году им были опубликованы первые научные работы по своему стартовому изобретению - компьютеру EDVAC. В следующем году он уже работал вместе со своими коллегами над созданием такого устройства в Принстонском институте перспективных исследований.

Архитектура этого изобретения ("архитектура фон Неймана") в дальнейшем стала базой, прототипом архитектур и большей части последующих компьютеров. В своих работах ученый указывал на наличие устройств, которые, по его мнению, являются обязательными для каждого компьютера. Среди них было упомянуто АЛУ. Фон Нейман считал, что арифметико-логическое устройство необходимо, потому что позволяет выполнять системе математические базовые операции. Как то: сложение и вычитание, умножение и деление.

составные части арифметико логического устройства

Внутреннее устройство АЛУ

Мы уже разобрали, что условно АЛУ можно разделить на две части:

  • УУ (микропрограммное устройство). Задает последовательность команд и микрокоманд.
  • ОУ. Здесь реализуется ранее заданная последовательность команд и микрокоманд. Операционные устройства, в свою очередь, разделяются по типу обрабатываемой информации, по способу обработки данных, логической структуре.

При этом условно состав АЛУ также подвергается следующей градации:

  • Регистры. Служат для обработки данных, поступающих как из пассивной, так и из оперативной памяти.
  • Логические команды. Служат для обработки слов по микрокомандам. Последние, естественно, будут поступать из УУ - устройства управления.

Сами микрокоманды делятся на две категории:

  • Поступают от внешнего источника в АЛУ. Вызывают в арифметико-логическом устройстве преобразование информации.
  • Генерируются в самом АЛУ. Оказывают свое влияние на микропрограммное устройство. Тем самым изменяют нормальный, стандартный порядок следования команд.

арифметико логическое устройство процессора

Функции регистров АЛУ

Чтобы иметь представление о работе АЛУ, нам нужно поближе познакомиться с функциями его регистров:

  • Pr1. Это аккумулятор или аккумуляторы. Считается главным регистром устройства, в котором и образуется результат произведенных вычислений.
  • Pr2, Pr3. Регистры операндов в зависимости от характера исполняемой операции - слагаемого, делителя, сомножителя и проч.
  • Pr4. Это адресный регистр. Он запоминает (в иных случаях формирует) адреса операндов результата.
  • Pr6. Некое количество индексных регистров. Их содержимое будет использоваться для формирования адресов.
  • Pr7. Вспомогательные регистры. По желанию разработчика могут стать аккумуляторами, индексными или вовсе использоваться для сохранения промежуточных результатов вычисления.

Теперь предлагаем вам обратиться к конкретным алгоритмам работы АЛУ.

Операция сложения

Функционально арифметико-логическое устройство будет состоять из Регистра 1, Регистра 2, сумматора и схемы управления.

Теперь распишем арифметическую операцию по тактам:

  1. Значение операнда № 1, участвующего в операции сложения, поступает в Регистр 1 по кодовой шине.
  2. Значение операнда № 2, участвующего в операции сложения, поступает в Регистр 2 по кодовой шине.
  3. Соответственно, по кодовой шине инструкций в схему управления поступает инструкция по выполнению данной операции.
  4. Данные из регистров уходят в сумматор. Далее схема управления уже дает команду на выполнение сложения.
  5. Результат по произведенной операции уходит в Регистр 1.
  6. Результат операции арифметико-логического устройства далее поступает в результирующий блок.

алу арифметико логическое устройство

Операция вычитания

Давайте рассмотрим выполнение еще одной простой арифметической операции:

  1. Значение операнда № 1, принимающего участие в операции вычитания, проходит в Регистр 1 по кодовой шине.
  2. Значение операнда № 2, принимающего участие в операции вычитания, проходит в Регистр 2 по кодовой шине.
  3. Инструкция по выполнению данного алгоритма выводится по кодовой шине инструкций к схеме управления.
  4. Происходит переформирование положительного числа в отрицательное схемой управления.
  5. Результат такого преобразования операнда идет далее в сумматор.
  6. Сумматор выполняет сложение данных чисел.
  7. Результат операции поступает в Регистр 1.
  8. Результат операции вычитания отправляется в результирующий блок.

Операции в устройстве

И еще одна тема напоследок. Мы должны помнить, что все операции,выполняемые в АЛУ, - логические. Их можно разделить на следующие категории:

  • Индексной арифметики.
  • Десятичной арифметики.
  • Специальной арифметики.
  • Двоичной арифметики для значений с фиксированной точкой.
  • Двоичной, шестнадцатеричной арифметики для значений с плавающей точкой.
  • Над алфавитно-цифровыми полями.
  • Над логическими кодами.

арифметико логическое устройство устройство управления

Арифметико-логическое устройство - основная часть процессора любого компьютера. Было разработано еще в середине прошлого века прославленным фон Нейманом. Призвано исполнять простые арифметические и логические операции в компьютере. Сегодня существует большое количество разновидностей АЛУ, что видно из множества представленных классификаций данных устройств.


Арифмети́ческо-логи́ческое устро́йство (АЛУ) (англ. arithmetic and logic unit, ALU ) — блок процессора, который служит для выполнения арифметических и логических преобразований над словами, называемыми в этом случае операндами.

Арифметическо-логическое устройство в зависимости от выполнения функций можно разделить на две части:

1)микропрограммное устройство (устройство управления), задающие последовательность микрокоманд (команд);
2)операционное устройство (АЛУ), в котором реализуется заданная последовательность микрокоманд (команд).

Структура АЛУ и его связь с другими блоками компьютера показаны на рисунке 2.

В состав арифметическо-логического устройства включается регистры Рг1 - Рг7, которые служат для обработки информации, поступающей из оперативной или пассивной памяти N1, N2, . NS и логические схемы, которые используются для обработки слов по микрокомандам, поступающим из устройства управления. Различают два вида микрокоманд: внешние - такие микрокоманды, которые поступают в АЛУ от внешних источников и вызывают в нем преобразование информации (на рисунке 2 это микрокоманды А1,А2. Аn) и внутренние - те, которые генерируются в АЛУ и оказывают влияние на микропрограммное устройство, изменяя таким образом нормальный порядок следования команд.

р1, p2. рm на рисунке 2 - это и есть микрокоманды. А результаты вычислений из АЛУ передаются в ОЗУ по кодовым шинам записи у1, у2, . уs.

Функции регистров, входящих в арифметическо-логическое устройство:

- Рг1 - сумматор (или сумматоры) - главный регистр АЛУ, в котором образуется результат вычислений;
- Рг2,Рг3 - регистры слагаемого/сомножителя/делителя/делимого в зависимости от выполняемой операции;
- Рг4 - регистр адреса (или адресные регистры), предназначенные для запоминания (бывает что формирования) адреса операндов результата;
- Рг6 - k индексных регистров, содержимое которых используется для формирования адресов;
- Рг7 - l вспомогательных регистров, которые по желанию программиста могут быть аккумуляторами, индексными регистрами или использоваться для запоминания промежуточных результатов.

Часть операционных регистров могут быть адресованы в команде для выполнения операций с их содержимым и их называют программно-доступными. К таким регистрам относятся: сумматор, индексные регистры и некоторые вспомогательные регистры. Остальные регистры нельзя адресовать в программе, т.е. они являются программно-недоступными.

Операционные устройства можно классифицировать по виду обрабатываемой информации, по способу её обработки и по логической структуре. Более подробная классификация указана на рис.3.

Такая сложная логическая структура АЛУ может характеризоваться количеством отличающихся друг от друга микроопераций, которые необходимы для выполнения всего комплекса задач, поставленных перед арифметичеко-логическим устройством. На входе каждого регистра собраны соответствующие логические схемы, обеспечивающие такие связи между регистрами, что позволяет реализовать заданные микрооперации. Выполнение операций над словами сводится к выполнению определенных микроопераций, которые сводятся в свою очередь управляют передачей слов в АЛУ и действиями по преобразованию слов. Порядок выполнения микрокоманд определяется алгоритмом выполнения операций. То есть, связи между регистрами АЛУ и их функциями зависят в основном от принятой методики выполнения операций: арифметических, логических или специальной арифметики.

Содержание

История

Разработчик компьютера ENIAC, Джон фон Нейман, был первым создателем АЛУ. В 1945 году он опубликовал первые научные работы по новому компьютеру, названному компьютера для Принстонского института новейших исследований (IAS). Этот компьютер позже стал прототипом для большинства последующих компьютеров. В своих работах фон Нейман указывал устройства, которые, как он считал, должны присутствовать в компьютерах. Среди этих устройств присутствовало и АЛУ. Фон Нейман отмечал, что АЛУ необходимо для компьютера, поскольку оно гарантирует, что компьютер будет способен выполнять базовые математические операции включая сложение, вычитание, умножение и деление.

Операции в АЛУ

Выполняемые в АЛУ операции можно разделить на следующие группы:

  • операции двоичной арифметики для чисел с фиксированной точкой;
  • операции двоичной (или шестнадцатеричной) арифметики для чисел с плавающей точкой;
  • операции десятичной арифметики;
  • операции индексной арифметики (при модификации адресов команд);
  • операции специальной арифметики;
  • операции над логическими кодами (логические операции);
  • операции над алфавитно-цифровыми полями.

Классификация АЛУ

По способу действия над операндами АЛУ делятся на последовательные и параллельные. В последовательных АЛУ операнды представляются в последовательном коде, а операции производятся последовательно во времени над их отдельными разрядами. В параллельных АЛУ операнды представляются параллельным кодом и операции совершаются параллельно во времени над всеми разрядами операндов.

По способу представления чисел различают АЛУ:

  1. для чисел с фиксированной точкой;
  2. для чисел с плавающей точкой;
  3. для десятичных чисел.

По характеру использования элементов и узлов АЛУ делятся на блочные и многофункциональные. В блочном АЛУ операции над числами с фиксированной и плавающей точкой, десятичными числами и алфавитно-цифровыми полями выполняются в отдельных блоках, при этом повышается скорость работы, так как блоки могут параллельно выполнять соответствующие операции, но значительно возрастают затраты оборудования. В многофункциональных АЛУ операции для всех форм представления чисел выполняются одними и теми же схемами, которые коммутируются нужным образом в зависимости от требуемого режима работы.

По своим функциям АЛУ является операционным блоком, выполняющим микрооперации, обеспечивающие приём из других устройств (например, памяти) операндов, их преобразование и выдачу результатов преобразования в другие устройства. Арифметическо-логическое устройство управляется управляющим блоком, генерирующим управляющие сигналы, инициирующие выполнение в АЛУ определённых микроопераций. Генерируемая управляющим блоком последовательность сигналов определяется кодом операции команды и оповещающими сигналами.

АЛУ: расшифровка, определение и принцип работы

Как все мы знаем из школьного курса информатики, в основе любого CPU лежит четыре основные составляющие: арифметико-логического устройства или сокращенно АЛУ, модуля ввода-вывода, а также блока управления и памяти.

Эта архитектура была разработана величайшим математиком всех времен и народов Джоном фон Найманом и легла в основу первого созданного центрального процессора. С тех пор CPU претерпел множество доработок и модернизаций, однако, базовый принцип его построения остался нетронутым.

Определение

АЛУ — это один из узлов ЦП, который отвечает за реализацию всех логических и арифметических преобразований различных уровней сложности, которые протекают в электронно-вычислительной машине. В качестве выполняемых операций используются операнды, длина которых соответствует длине слова или его размеру.

Главным предназначением этого узла является обработка данных, которые находятся в оперативно запоминающем устройстве компьютера. Помимо этого, АЛУ генерирует управляющие сигналы, которые являются для электронно-вычислительной машины своего рода инструкциями, указывающими что необходимо делать с определенным набором данных. Каждая из операций, происходящих в процессоре, выполняется при помощи электронных схем, которые могут состоять из нескольких тысяч электронных элементов, установленных очень плотно друг к другу.

Поступающие на вход АЛУ электронные сигналы генерируют определенный тип обработки данных, выраженных в двоичной системе исчисления. Всего существует четыре разновидности заданных типов действий, которыми способен работать этот узел центрального процессора. Таким образом, количество базовых операций является основной характеристикой этого узла процессора.

В свою очередь, для выполнения определенной операции с данными, в АЛУ реализовано четыре элемента, на каждый из которых возложено выполнение определенных задач: управление, передача, хранение и преобразование данных, поступающих на вход узла из оперативной памяти.

Узлы хранения

К этой составляющей относятся следующие электронные элементы:
— триггеры, отвечающие за хранение вспомогательных данных и конечных результатов, необходимых для выполнения различных процессов;
— регистры, основным предназначением которых является сохранение целостной структуры аргументов операций промежуточных и конечных результатов.
В некоторых случаях совокупность регистров может выступать в качестве блока памяти, а триггеры — в качестве общего регистра состояния.

Узлы передачи

Составляющей этого узла центрального процессора являются:
— шины, отвечающие за объединение блоков АЛУ и их обоюдное взаимодействие;
— мультиплексоры и вентили, благодаря которым задается нужное направление выполняемых преобразований.

Узлы преобразования

Элементами этого узла выступают:

— сумматоры, отвечающие за выполнение микроопераций;
— сдвигатели;
— схемы выполнения логических операций;
— корректоры для десятичной арифметики;
— преобразователи кода, которые принимают участие в операциях с обратными и дополнительными данными, которые могут потребоваться в процессе преобразования;
— счетчики, осуществляющие подсчет завершенных циклов преобразования данных.

Узлы управления

Основными элементами узла управления являются:

— контрольный блок;
— дешифратор сигналов;
— схемы преобразования логических признаков, которые формируют ветви выполнения заданных команд.

Принцип работы

В процессе работы CPU, в рассматриваемом нами узле создаются электронные сигналы, подаваемые на другие узлы ЦП строго в определенной последовательности, которые необходимы для выполнения поставленной задачи. Этот процесс осуществляется поэтапно и состоит из нескольких тактов.
Управляющее устройство отвечает за выполнение программ в автоматическом режиме, однако, в процессе его работы могут применяться прерывания, необходимые для вовлечения в работу прочих узлов процессора. Работа устройства управления возможно благодаря основному принципу микропрограммирования, который обладает определенным набором характеристик.

Разновидности арифметико-логического устройства

В зависимости от принципа работы и метода обработки массивов данных АЛУ можно разделить на две категории: последовательные и параллельные. Эти категории различаются методами представления элементов операций и их реализации.

Помимо этого, существует еще классификация АЛУ по характеру использования, в которой этот узел подразделяется на блочные и многофункциональные. Для первого типа характерно распределение данных по однотипным блокам и последующее их выполнение. В процессе работы второго типа для обработки данных задействуются все имеющиеся микросхемы, которые, в свою очередь, могут приспосабливаться под различные типы данных. За обработку различных видов данных отвечают определенные микросхемы.

При этом высокая скорость работы АЛУ достигается благодаря тому, что все задачи выполняются в параллельном режиме, что обеспечивает их многозадачность, однако, такой принцип работы сопровождается и большим энергопотреблением. По способу представления данных, АЛУ могут использоваться для работы с десятичными числами, а также числами, использующими плавающую или фиксированную запятую.

Арифметические операции и логические процедуры

Любую операцию, происходящую в АЛУ, можно представить в виде строгой последовательности логических функций, выраженных при помощи многоразрядной электронно-вычислительной логики. Например, для двоичных электронно-вычислительных систем применяется и аналогичный тип логики и так до десятичной системы.

В любом преобразовании данных, происходящем в арифметико-логическом устройстве, присутствуют свои аргументы операции, а конечные результаты обработки данных выражаются в виде шестнадцатиразрядных битовых строк. Обработанные во время преобразования данные, выражаются в цифровом виде со знаком плюс или минус, в зависимости от наличия переполнения, при помощи специальных флагов, которые используются при непредсказуемых изменениях знака, который выражается в виде отдельного бита. Логика переноса бита между системами различных разрядов происходит по принципу беззнаковой арифметики. Таким образом, система присваивает знак плюс в том случае, если обрабатываемые данные в старшем разряде не могут быть выражены конечным результатом в младшем разряде.

Запись опубликована 05.04.2016 автором katrinas11 в рубрике Моя жизнь. Отблагодари меня, поделись ссылкой с друзьями в социальных сетях:

Арифметико-логическое устройство (АЛУ)предназначено для выполнения ариф­метических и логических операций преобразования информации. Функциональ­но АЛУ (рис. 5.2) состоит обычно из двух регистров, сумматора и схем управле­ния (местного устройства управления).


Рис.5.2. Функциональная схема АЛУ

Сумматор — вычислительная схема, выполняющая процедуру сложения посту­пающих на ее вход двоичных кодов; сумматор имеет разрядность двойного ма­шинного слова.

Регистры — быстродействующие ячейки памяти различной длины: регистр 1 имеет разрядность двойного слова, а регистр 2 — разрядность слова. При выпол­нении операций в регистр 1 помещается первое число, участвующее в операции, а по завершении операции — результат; в регистр 2 — второе число, участвующее в операции (по завершению операции информация в нем не изменяется). Ре­гистр 1 может и принимать информацию с кодовых шин данных и выдавать ин­формацию на них; регистр 2 только получает информацию с этих шин.

Схемы управления принимают по кодовым шинам инструкций управляющие сигналы от устройства управления и преобразуют их в сигналы для управления работой регистров и сумматора АЛУ.

Рассмотрим в качестве примера выполнение команды умножения. Перемножают­ся числа 1101 и 1011 (числа для простоты взяты 4-битовыми). Множимое находит­ся в регистре 1, имеющем удвоенную по отношению к регистру 2 разрядность; множитель размещается в регистре 2. Операция умножения требует для своего

Физическая и функциональная структура микропроцессора

выполнения нескольких тактов. В каждом такте число из регистра 1 проходит в сумматор (имеющий также удвоенную разрядность) только в том случае, если в младшем разряде регистра 2 находится 1. В данном примере в первом такте чис­ло 1101 пройдет в сумматор, и в этом же первом такте число в регистре 1 сдвига­ется на 1 разряд влево, а число в регистре 2 — на 1 разряд вправо. В конце такта после сдвигов в регистре 1 будет находиться число 11010, а в регистре 2 — число 101. Во втором такте число из регистра 1 пройдет в сумматор, так как младший разряд в регистре 2 равен 1; в конце такта числа в регистрах опять будут сдвину­ты влево и вправо, так, что в регистре 1 окажется число 110100, а в регистре 2 — число 10. В третьем такте число из регистра 1 не пройдет в сумматор, так как младший разряд в регистре 2 равен 0; в конце такта числа в регистрах будут сдви­нуты влево и вправо, так что в регистре 1 окажется число 1101000, а в регист­ре 2 — число 1. На четвертом такте число из регистра 1 пройдет в сумматор, по­скольку младший разряд в регистре 2 равен 1; в конце такта числа в регистрах будут сдвинуты влево и вправо, так что в регистре 1 окажется число 11010000, а в регистре 2 — число 0. Поскольку множитель в регистре 2 стал равным 0, опера­ция умножения заканчивается. В результате в сумматор последовательно поступят и будут сложены числа: 1101, 11010, 1101000; их сумма 10001111 (143 в десятичной системе) и будет равна произведению чисел 1101 х 1011 (13 х 11 десятичные).

Арифметико-логическое устройство (АЛУ)предназначено для выполнения ариф­метических и логических операций преобразования информации. Функциональ­но АЛУ (рис. 5.2) состоит обычно из двух регистров, сумматора и схем управле­ния (местного устройства управления).


Рис.5.2. Функциональная схема АЛУ

Сумматор — вычислительная схема, выполняющая процедуру сложения посту­пающих на ее вход двоичных кодов; сумматор имеет разрядность двойного ма­шинного слова.

Регистры — быстродействующие ячейки памяти различной длины: регистр 1 имеет разрядность двойного слова, а регистр 2 — разрядность слова. При выпол­нении операций в регистр 1 помещается первое число, участвующее в операции, а по завершении операции — результат; в регистр 2 — второе число, участвующее в операции (по завершению операции информация в нем не изменяется). Ре­гистр 1 может и принимать информацию с кодовых шин данных и выдавать ин­формацию на них; регистр 2 только получает информацию с этих шин.




Схемы управления принимают по кодовым шинам инструкций управляющие сигналы от устройства управления и преобразуют их в сигналы для управления работой регистров и сумматора АЛУ.

Рассмотрим в качестве примера выполнение команды умножения. Перемножают­ся числа 1101 и 1011 (числа для простоты взяты 4-битовыми). Множимое находит­ся в регистре 1, имеющем удвоенную по отношению к регистру 2 разрядность; множитель размещается в регистре 2. Операция умножения требует для своего

Физическая и функциональная структура микропроцессора

выполнения нескольких тактов. В каждом такте число из регистра 1 проходит в сумматор (имеющий также удвоенную разрядность) только в том случае, если в младшем разряде регистра 2 находится 1. В данном примере в первом такте чис­ло 1101 пройдет в сумматор, и в этом же первом такте число в регистре 1 сдвига­ется на 1 разряд влево, а число в регистре 2 — на 1 разряд вправо. В конце такта после сдвигов в регистре 1 будет находиться число 11010, а в регистре 2 — число 101. Во втором такте число из регистра 1 пройдет в сумматор, так как младший разряд в регистре 2 равен 1; в конце такта числа в регистрах опять будут сдвину­ты влево и вправо, так, что в регистре 1 окажется число 110100, а в регистре 2 — число 10. В третьем такте число из регистра 1 не пройдет в сумматор, так как младший разряд в регистре 2 равен 0; в конце такта числа в регистрах будут сдви­нуты влево и вправо, так что в регистре 1 окажется число 1101000, а в регист­ре 2 — число 1. На четвертом такте число из регистра 1 пройдет в сумматор, по­скольку младший разряд в регистре 2 равен 1; в конце такта числа в регистрах будут сдвинуты влево и вправо, так что в регистре 1 окажется число 11010000, а в регистре 2 — число 0. Поскольку множитель в регистре 2 стал равным 0, опера­ция умножения заканчивается. В результате в сумматор последовательно поступят и будут сложены числа: 1101, 11010, 1101000; их сумма 10001111 (143 в десятичной системе) и будет равна произведению чисел 1101 х 1011 (13 х 11 десятичные).

Читайте также: